Case ID: M19-040P^

Published: 2020-02-26 10:44:58

Last Updated: 1677135912


Inventor(s)

Venkatesh Kodukula
Saad Katrawala
Britton Jones
Robert LiKamWa

Technology categories

Computing & Information TechnologyEnergy & PowerImagingPhysical Science

Licensing Contacts

Shen Yan
Director of Intellectual Property - PS
[email protected]

Fidelity-Driven Runtime Thermal Management for Near-Sensor Architectures

Background

Advances in imaging and vision processing technologies have enabled countless devices, such as phones, headsets, cameras, and drones, to be commercial staples. As high-performance imaging needs grow, management of energy consumption becomes a concern. This has motivated a trend towards three-dimensional “stacked” integrated circuit architectures for sensor capture and processing, known as near-sensor processing. By stacking circuit units on top of each other, data traffic is reduced which promotes energy efficiency. However, full adoption of near-sensor processing is challenged by heat dissipation issues that affect sensor performance. Although current dynamic thermal management (DTM) techniques can reduce cooling costs and maintain operation within temperature limits, application-specific imaging needs are not considered. Therefore, a more nuanced approach to DTM that is based on maximizing image fidelity in various contexts may provide the key to accelerating market integration of near-sensor architecture. 

 

Invention Description

Researchers at Arizona State University have developed a new system for regulating temperature in near-sensor architectures based on dynamic visual task requirements. Two novel thermal management techniques are integral to its function: (1) Stop-capture-go, wherein near-sensor processing is paused to allow temperature to drop, and (2) Seasonal migration, wherein processing is offloaded to a thermally isolated computational unit, allowing for continuous computing without heat accumulation at the near-sensor unit.

 

A runtime controller optimizes state transfers between operating modes while incorporating ambient temperature and light readings into its policy parameters. By enabling greater control of sensor temperatures, this system allows vision systems to reprioritize performance based on application without sacrificing the energy efficiency afforded by near-sensor architectures. 

 

Potential Applications

•       Near-sensor imaging architecture

•       Vision system processing

•       Thermal management of integrated circuits

 

Benefits and Advantages

•       Innovative – Incorporates high-level awareness of image setting and application in novel temperature control processes

•       Technology-Enabling – Addresses a major factor that currently limits further adoption of near-sensor architecture     

•       Adaptive – Allows imaging systems to adjust performance purposefully and intelligently 

 

Laboratory Homepage of Professor Robert LiKamWa